# This is Cooledit syntax-file for Verilog and SystemVerilog
# Created by Andres Farfan, <nafraf@linuxmail.org>
# Updated by Andrei Purdea, <andrei@purdea.ro>
# Feel free to copy & modify this.
# 09/2004
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
# default colors
context default
#Compiler directives
keyword whole `__FILE__ color196/
keyword whole `__LINE__ color196/
keyword whole `begin_keywords color196/
keyword whole `celldefine color196/
keyword whole `default_nettype color196/
keyword whole `define color196/
keyword whole `else color196/
keyword whole `elsif color196/
keyword whole `end_keywords color196/
keyword whole `endcelldefine color196/
keyword whole `endif color196/
keyword whole `ifdef color196/
keyword whole `ifndef color196/
keyword whole `include color196/
keyword whole `line color196/
keyword whole `nounconnected_drive color196/
keyword whole `pragma color196/
keyword whole `resetall color196/
keyword whole `timescale color196/
keyword whole `unconnected_drive color196/
keyword whole `undef color196/
keyword whole `undefineall color196/
#Other -- these are not defined in the verilog standards, may be vendor-specific:
keyword whole `accelerate color196/
keyword whole `autoexpand_vectornets color196/
keyword whole `endprotect color196/
keyword whole `endprotected color196/
keyword whole `expand_vectornets color196/
keyword whole `noaccelerate color196/
keyword whole `noexpand_vectornets color196/
keyword whole `noremove_gatenames color196/
keyword whole `noremove_netnames color196/
keyword whole `protect color196/
keyword whole `protected color196/
keyword whole `remove_gatenames color196/
keyword whole `remove_netnames color196/
keyword whole `signed color196/
keyword whole `unsigned color196/
#Reserved Keywords IEEE1364-1995
keyword whole always color19
keyword whole and color19
keyword whole assign color19
keyword whole begin color19
keyword whole buf color19
keyword whole bufif0 color19
keyword whole bufif1 color19
keyword whole case color19
keyword whole casex color19
keyword whole casez color19
keyword whole cmos color19
keyword whole deassign color19
keyword whole default color19
keyword whole defparam color19
keyword whole disable color19
keyword whole edge color19
keyword whole else color19
keyword whole end color19
keyword whole endcase color19
keyword whole endmodule color19
keyword whole endfunction color19
keyword whole endprimitive color19
keyword whole endspecify color19
keyword whole endtable color19
keyword whole endtask color19
keyword whole event color19
keyword whole for color19
keyword whole force color19
keyword whole forever color19
keyword whole fork color19
keyword whole function color19
keyword whole highz0 color19
keyword whole highz1 color19
keyword whole if color19
keyword whole ifnone color19
keyword whole initial color19
keyword whole inout color19
keyword whole input color19
keyword whole integer color19
keyword whole join color19
keyword whole large color19
keyword whole macromodule color19
keyword whole medium color19
keyword whole module color19
keyword whole nand color19
keyword whole negedge color19
keyword whole nmos color19
keyword whole nor color19
keyword whole not color19
keyword whole notif0 color19
keyword whole notif1 color19
keyword whole or color19
keyword whole output color19
keyword whole parameter color19
keyword whole pmos color19
keyword whole posedge color19
keyword whole primitive color19
keyword whole pull0 color19
keyword whole pull1 color19
keyword whole pullup color19
keyword whole pulldown color19
keyword whole rcmos color19
keyword whole real color19
keyword whole realtime color19
keyword whole reg color19
keyword whole release color19
keyword whole repeat color19
keyword whole rnmos color19
keyword whole rpmos color19
keyword whole rtran color19
keyword whole rtranif0 color19
keyword whole rtranif1 color19
keyword whole scalared color19
keyword whole small color19
keyword whole specify color19
keyword whole specparam color19
keyword whole strong0 color19
keyword whole strong1 color19
keyword whole supply0 color19
keyword whole supply1 color19
keyword whole table color19
keyword whole task color19
keyword whole time color19
keyword whole tran color19
keyword whole tranif0 color19
keyword whole tranif1 color19
keyword whole tri color19
keyword whole tri0 color19
keyword whole tri1 color19
keyword whole triand color19
keyword whole trior color19
keyword whole trireg color19
keyword whole vectored color19
keyword whole wait color19
keyword whole wand color19
keyword whole weak0 color19
keyword whole weak1 color19
keyword whole while color19
keyword whole wire color19
keyword whole wor color19
keyword whole xnor color19
keyword whole xor color19
#Reserved Keywords IEEE1364-2001
keyword whole automatic color19
keyword whole cell color19
keyword whole config color19
keyword whole design color19
keyword whole endconfig color19
keyword whole endgenerate color19
keyword whole generate color19
keyword whole genvar color19
keyword whole incdir color19
keyword whole include color19
keyword whole instance color19
keyword whole liblist color19
keyword whole library color19
keyword whole localparam color19
keyword whole noshowcancelled color19
keyword whole pulsestyle_ondetect color19
keyword whole pulsestyle_onevent color19
keyword whole showcancelled color19
keyword whole signed color19
keyword whole unsigned color19
keyword whole use color19
#Reserved Keywords IEEE1364-2005
keyword whole uwire color19
#Reserved Keywords IEEE1800-2005
keyword whole alias color19
keyword whole always_comb color19
keyword whole always_ff color19
keyword whole always_latch color19
keyword whole assert color19
keyword whole assume color19
keyword whole before color19
keyword whole bind color19
keyword whole bins color19
keyword whole binsof color19
keyword whole bit color19
keyword whole break color19
keyword whole byte color19
keyword whole chandle color19
keyword whole class color19
keyword whole clocking color19
keyword whole const color19
keyword whole constraint color19
keyword whole context color19
keyword whole continue color19
keyword whole cover color19
keyword whole covergroup color19
keyword whole coverpoint color19
keyword whole cross color19
keyword whole dist color19
keyword whole do color19
keyword whole endclass color19
keyword whole endclocking color19
keyword whole endgroup color19
keyword whole endinterface color19
keyword whole endpackage color19
keyword whole endprogram color19
keyword whole endproperty color19
keyword whole endsequence color19
keyword whole enum color19
keyword whole expect color19
keyword whole export color19
keyword whole extends color19
keyword whole extern color19
keyword whole final color19
keyword whole first_match color19
keyword whole foreach color19
keyword whole forkjoin color19
keyword whole iff color19
keyword whole ignore_bins color19
keyword whole illegal_bins color19
keyword whole import color19
keyword whole inside color19
keyword whole int color19
keyword whole interface color19
keyword whole intersect color19
keyword whole join_any color19
keyword whole join_none color19
keyword whole local color19
keyword whole logic color19
keyword whole longint color19
keyword whole matches color19
keyword whole modport color19
keyword whole new color19
keyword whole null color19
keyword whole package color19
keyword whole packed color19
keyword whole priority color19
keyword whole program color19
keyword whole property color19
keyword whole protected color19
keyword whole pure color19
keyword whole rand color19
keyword whole randc color19
keyword whole randcase color19
keyword whole randsequence color19
keyword whole ref color19
keyword whole return color19
keyword whole sequence color19
keyword whole shortint color19
keyword whole shortreal color19
keyword whole solve color19
keyword whole static color19
keyword whole string color19
keyword whole struct color19
keyword whole super color19
keyword whole tagged color19
keyword whole this color19
keyword whole throughout color19
keyword whole timeprecision color19
keyword whole timeunit color19
keyword whole type color19
keyword whole typedef color19
keyword whole union color19
keyword whole unique color19
keyword whole var color19
keyword whole virtual color19
keyword whole void color19
keyword whole wait_order color19
keyword whole wildcard color19
keyword whole with color19
keyword whole within color19
#Reserved Keywords IEEE1800-2009
keyword whole accept_on color19
keyword whole checker color19
keyword whole endchecker color19
keyword whole eventually
keyword whole global color19
keyword whole implies color19
keyword whole let color19
keyword whole nexttime color19
keyword whole reject_on color19
keyword whole restrict color19
keyword whole s_always color19
keyword whole s_eventually color19
keyword whole s_nexttime color19
keyword whole s_until color19
keyword whole s_until_with color19
keyword whole strong color19
keyword whole sync_accept_on color19
keyword whole sync_reject_on color19
keyword whole unique0 color19
keyword whole until color19
keyword whole until_with color19
keyword whole untyped color19
keyword whole weak color19
#Reserved Keywords IEEE1800-2012
keyword whole implements color19
keyword whole interconnect color19
keyword whole nettype color19
keyword whole soft color19
#Utility system tasks and system functions
keyword whole $acos color19
keyword whole $acosh color19
keyword whole $asin color19
keyword whole $asinh color19
keyword whole $assertcontrol color19
keyword whole $assertfailoff color19
keyword whole $assertfailon color19
keyword whole $assertkill color19
keyword whole $assertnonvacuouson color19
keyword whole $assertoff color19
keyword whole $asserton color19
keyword whole $assertpassoff color19
keyword whole $assertpasson color19
keyword whole $assertvacuousoff color19
keyword whole $async$and$array color19
keyword whole $async$and$plane color19
keyword whole $async$nand$array color19
keyword whole $async$nand$plane color19
keyword whole $async$nor$array color19
keyword whole $async$nor$plane color19
keyword whole $async$or$array color19
keyword whole $async$or$plane color19
keyword whole $atan color19
keyword whole $atan2 color19
keyword whole $atanh color19
keyword whole $bits color19
keyword whole $bitstoreal color19
keyword whole $bitstoshortreal color19
keyword whole $cast color19
keyword whole $ceil color19
keyword whole $changed color19
keyword whole $changed_gclk color19
keyword whole $changing_gclk color19
keyword whole $clog2 color19
keyword whole $cos color19
keyword whole $cosh color19
keyword whole $countbits color19
keyword whole $countones color19
keyword whole $coverage_control color19
keyword whole $coverage_get color19
keyword whole $coverage_get_max color19
keyword whole $coverage_merge color19
keyword whole $coverage_save color19
keyword whole $dimensions color19
keyword whole $dist_chi_square color19
keyword whole $dist_erlang color19
keyword whole $dist_exponential color19
keyword whole $dist_normal color19
keyword whole $dist_poisson color19
keyword whole $dist_t color19
keyword whole $dist_uniform color19
keyword whole $error color19
keyword whole $error color19
keyword whole $exit color19
keyword whole $exp color19
keyword whole $falling_gclk color19
keyword whole $fatal color19
keyword whole $fatal color19
keyword whole $fell color19
keyword whole $fell_gclk color19
keyword whole $finish color19
keyword whole $floor color19
keyword whole $future_gclk color19
keyword whole $get_coverage color19
keyword whole $high color19
keyword whole $hypot color19
keyword whole $increment color19
keyword whole $info color19
keyword whole $info color19
keyword whole $isunbounded color19
keyword whole $isunknown color19
keyword whole $itor color19
keyword whole $left color19
keyword whole $ln color19
keyword whole $load_coverage_db color19
keyword whole $log10 color19
keyword whole $low color19
keyword whole $onehot color19
keyword whole $onehot0 color19
keyword whole $past color19
keyword whole $past_gclk color19
keyword whole $pow color19
keyword whole $printtimescale color19
keyword whole $q_add color19
keyword whole $q_exam color19
keyword whole $q_full color19
keyword whole $q_initialize color19
keyword whole $q_remove color19
keyword whole $random color19
keyword whole $realtime color19
keyword whole $realtobits color19
keyword whole $right color19
keyword whole $rising_gclk color19
keyword whole $rose color19
keyword whole $rose_gclk color19
keyword whole $rtoi color19
keyword whole $sampled color19
keyword whole $set_coverage_db_name color19
keyword whole $shortrealtobits color19
keyword whole $signed color19
keyword whole $sin color19
keyword whole $sinh color19
keyword whole $size color19
keyword whole $sqrt color19
keyword whole $stable color19
keyword whole $stable_gclk color19
keyword whole $steady_gclk color19
keyword whole $stime color19
keyword whole $stop color19
keyword whole $sync$and$array color19
keyword whole $sync$and$plane color19
keyword whole $sync$nand$array color19
keyword whole $sync$nand$plane color19
keyword whole $sync$nor$array color19
keyword whole $sync$nor$plane color19
keyword whole $sync$or$array color19
keyword whole $sync$or$plane color19
keyword whole $system color19
keyword whole $tan color19
keyword whole $tanh color19
keyword whole $time color19
keyword whole $timeformat color19
keyword whole $typename color19
keyword whole $unpacked_dimensions color19
keyword whole $unsigned color19
keyword whole $warning color19
#Input/output system tasks and system functions
keyword whole $display color19
keyword whole $displayb color19
keyword whole $displayh color19
keyword whole $displayo color19
keyword whole $dumpall color19
keyword whole $dumpfile color19
keyword whole $dumpflush color19
keyword whole $dumplimit color19
keyword whole $dumpoff color19
keyword whole $dumpon color19
keyword whole $dumpports color19
keyword whole $dumpportsall color19
keyword whole $dumpportsflush color19
keyword whole $dumpportslimit color19
keyword whole $dumpportsoff color19
keyword whole $dumpportson color19
keyword whole $dumpvars color19
keyword whole $fclose color19
keyword whole $fdisplay color19
keyword whole $fdisplayb color19
keyword whole $fdisplayh color19
keyword whole $fdisplayo color19
keyword whole $feof color19
keyword whole $ferror color19
keyword whole $fflush color19
keyword whole $fgetc color19
keyword whole $fgets color19
keyword whole $fmonitor color19
keyword whole $fmonitorb color19
keyword whole $fmonitorh color19
keyword whole $fmonitoro color19
keyword whole $fopen color19
keyword whole $fread color19
keyword whole $fscanf color19
keyword whole $fseek color19
keyword whole $fstrobe color19
keyword whole $fstrobeb color19
keyword whole $fstrobeh color19
keyword whole $fstrobeo color19
keyword whole $ftell color19
keyword whole $fwrite color19
keyword whole $fwriteb color19
keyword whole $fwriteh color19
keyword whole $fwriteo color19
keyword whole $monitor color19
keyword whole $monitorb color19
keyword whole $monitorh color19
keyword whole $monitoro color19
keyword whole $monitoroff color19
keyword whole $monitoron color19
keyword whole $readmemb color19
keyword whole $readmemh color19
keyword whole $rewind color19
keyword whole $sformat color19
keyword whole $sformatf color19
keyword whole $sscanf color19
keyword whole $strobe color19
keyword whole $strobeb color19
keyword whole $strobeh color19
keyword whole $strobeo color19
keyword whole $swrite color19
keyword whole $swriteb color19
keyword whole $swriteh color19
keyword whole $swriteo color19
keyword whole $test$plusargs color19
keyword whole $ungetc color19
keyword whole $value$plusargs color19
keyword whole $write color19
keyword whole $writeb color19
keyword whole $writeh color19
keyword whole $writememb color19
keyword whole $writememh color19
keyword whole $writeo color19
#Timing checks
keyword whole $fullskew color19
keyword whole $hold color19
keyword whole $nochange color19
keyword whole $period color19
keyword whole $recovery color19
keyword whole $recrem color19
keyword whole $removal color19
keyword whole $setup color19
keyword whole $setuphold color19
keyword whole $skew color19
keyword whole $timeskew color19
keyword whole $width color19
#Other items (these are not specified in any verilog standard. These may be vendor-specific or deprecated):
keyword whole $history color19
keyword whole $showvariables color19
#Optional system tasks and system functions
keyword whole $countdrivers color19
keyword whole $getpattern color19
keyword whole $incsave color19
keyword whole $input color19
keyword whole $key color19
keyword whole $list color19
keyword whole $log color19
keyword whole $nokey color19
keyword whole $nolog color19
keyword whole $reset color19
keyword whole $reset_count color19
keyword whole $reset_value color19
keyword whole $restart color19
keyword whole $save color19
keyword whole $scale color19
keyword whole $scope color19
keyword whole $showscopes color19
keyword whole $showvars color19
keyword whole $sreadmemb color19
keyword whole $sreadmemh color19
keyword > color19
keyword < color19
keyword \+ color19
keyword - color19
keyword \* color19
keyword / color19
keyword % color19
keyword = color19
keyword != color19
keyword == color19
keyword || color19
keyword && color19
keyword { color17
keyword } color17
keyword ( color17
keyword ) color17
keyword [ color17
keyword ] color17
keyword , color17
keyword . color17
keyword : color17
keyword ? color17
keyword ; color90
keyword | color90
keyword & color90
keyword ~ color90
keyword ^ color90
context exclusive /\* \*/ color30
spellcheck
context exclusive // \n color30
spellcheck
context " " color28/